Welcome![Sign In][Sign Up]
Location:
Search - fpga LCD

Search list

[VHDL-FPGA-Verilogps2_mouse_interface

Description: ps2接口的鼠标与vga接口的驱动程序,Verilog HDL语言,运用于FPGA-ps2_mouse_interface and vga in Verilog HDL language, applied to FPGA
Platform: | Size: 5120 | Author: 吴笑 | Hits:

[VHDL-FPGA-VerilogPSP

Description: 基于FPGA的TFT液晶驱动控制器设计源代码-FPGA-based TFT LCD driver controller source code
Platform: | Size: 871424 | Author: chenyou | Hits:

[VHDL-FPGA-VerilogLCD

Description: EP2C8Q208_Quartus_V8.0 基于FPGA实现LCD VHDL代码-EP2C8Q208_Quartus_V8.0 FPGA-based implementation LCD VHDL code
Platform: | Size: 474112 | Author: zkzkzk | Hits:

[VHDL-FPGA-Verilog1602A-VHDL

Description: --利用FPGA驱动LCD显示中文字符"年"的VHDL程序。 --文件名 :lcd1602.vhd。 --功能 : FGAD驱动LCD显示中文字符"年"。-- Using FPGA-driven LCD display Chinese characters " year" VHDL program.- File Name: lcd1602.vhd.- Function: FGAD drive LCD display Chinese characters " year."
Platform: | Size: 1024 | Author: 王达 | Hits:

[VHDL-FPGA-VerilogLCD

Description: Actel fusion M1AFS600的LCD实验,基于周立功公司CortexM1开发板,程序包括FPGA源程序和Keil环境下C语言程序,程序测试通过。-Actel fusion M1AFS600 the LCD test, Zhou, who based the company CortexM1 development board, the program includes FPGA source code and Keil C language programming environment, the program test.
Platform: | Size: 11481088 | Author: 卫菊梅 | Hits:

[VHDL-FPGA-VerilogFPGA-drive-12864

Description: FPGA驱动12864液晶,一般可以显示我们想显示的,只要相应的适当修改。-The FPGA drive, can generally 12864 LCD display we want to show, as long as the corresponding appropriate modification.
Platform: | Size: 1024 | Author: 亮亮 | Hits:

[VHDL-FPGA-Verilogfpga-display-bmp-pictures

Description: 本文设计的是基于大规模FPGA的BMP图库管理,完成了数码相框的一部分功能。并且本文详细地介绍了BMP图库管理的软硬件实现,即采用Altera的CyclonII系列EP2C20F484C7作为主控芯片,内嵌32位的NiosII软核,采用SDRAM作为内存,把存储在SD卡内的二进制图片信息读入内存,并控制TFT彩色液晶,读取图片数据送到液晶上显示。整个过程的所有设备都是通过Avalon总线挂在NiosII上,在NiosII的协调下正常工作。 本作品最终能显示存入SD卡内的彩色图片信息,图片显示很流畅,没有延时。并且能通过4个按键分别完成图片的上翻、下翻、放大和缩小。-This design is based on the large-scale FPGA-BMP library management, and completed part of the features of digital photo frame. This paper describes the library management software and hardware to achieve BMP photos, that used the Altera s CyclonII series EP2C20F484C7 as the master chip, embedded soft-core 32-bit NiosII, the use of SDRAM for memory, SD card stored the binary picture information read into memory, and control TFT color LCD, read the image form the memory data to the LCD display. All equipment of the process hanging in the NiosII through Avalon bus, with the NiosII CPU and complete the coordination of work. Eventually the work can show the color pictures of information stored into the SD card, pictures show smoothly, and with no delay. And with 4 keys, respectively, we can make the TFT display the previous image or the next image,and make the pictures zoom in or zoom out.
Platform: | Size: 2168832 | Author: wuwei | Hits:

[SCMLCD-Display-Driver-Design

Description: : 为了将液晶显示器( L C D) 用于军用设备和一些特殊领域, 采用工, _ l k N . F P G A, ~片, 自 行设计L C D显示 驱动逻辑, 研制一种能够可靠工作于. 4 0 ~ + 6 5 ℃的L C D显示驱动器。 该显示驱动器能够接收隔行扫描 诵视 曩 信号 。 逝待去隔行和缩放处理 。 买现清聚显示。 簧通过 蔷低温头验o-: For the liquid crystal display (LCD) used in military equipment and some special areas, the use of workers, _ lk N. FPGA, ~ piece, self-designed LCD display drive logic, the development of a reliably working on. 4 0 ~+ 6 5 ℃ of the LCD display driver. The display driver can receive, as in former times chanting interlaced signal. Passed away to be de-interlacing and scaling processing. Buy now clear poly display. The first inspection by Qiang cold spring o
Platform: | Size: 157696 | Author: ads1_2 | Hits:

[VHDL-FPGA-Verilogfpga_pc

Description: 该源码实现了XILINX的FPGA(Spartan 3E)与计算机的通信,用到了rs232串口、ps/2键盘接口、lcd液晶,是学习FPGA很好的资料-The source implementation of the XILINX' s FPGA (Spartan 3E) and computer communications, use the rs232 serial port, ps/2 keyboard interface, lcd LCD is good information to learn FPGA
Platform: | Size: 698368 | Author: tangtao | Hits:

[VHDL-FPGA-Verilog1602LCD-Verilog

Description: 用FPGA控制在LCD1602上显示一段字符串。可以对LCD1602的控制有更深的了解-Using FPGA to control the LCD1602 display a string. LCD1602 can have a better understanding of the control
Platform: | Size: 299008 | Author: 马辛未 | Hits:

[VHDL-FPGA-VerilogVGA-LCD

Description: vga_lcd,显示是应用较广的一种技术,是led大屏的技术基础,对于学习led大屏技术有着很大的帮助。-VGA LED LCD VHDL FPGA
Platform: | Size: 641024 | Author: | Hits:

[VHDL-FPGA-Verilogled_show

Description: 在FPGA中实现lcd字符型显示器的控制,并且能实现动态的显示-In the FPGA lcd character display control, and can achieve a dynamic display
Platform: | Size: 835584 | Author: shicunying | Hits:

[VHDL-FPGA-Verilogfpga-for-character-LCD-driver.

Description: 利用FPGA的时序逻辑实现fpga对字符液晶的驱动。-fpga for character LCD driver.
Platform: | Size: 2847744 | Author: 陈培训 | Hits:

[VHDL-FPGA-VerilogFPGA-12864

Description: FPGA实现12864液晶的静、动态显示-12864 LCD FPGA implementation of static and dynamic display
Platform: | Size: 2562048 | Author: pjv198906 | Hits:

[VHDL-FPGA-Veriloglcd12864_test

Description: fpga lcd12864测试程序,能够点亮和运行简单的液晶屏-fpga lcd12864 test program to light up and run a simple LCD screen
Platform: | Size: 319488 | Author: sim | Hits:

[VHDL-FPGA-VerilogLCD

Description: VHDL-FPGA-Verilog LCD charachteri 2*16 sample program
Platform: | Size: 333824 | Author: farshad | Hits:

[VHDL-FPGA-VerilogLCD12864

Description: 用verilog编写的液晶显示程序,已调试通过。 1、 本工程主要是设计一个LCD的控制模块,然后在LCD上显示想要显示的数据。  2、 通过JTAG口把LCD12864.sof下载到FPGA上,则LCD就会显示出要显示的数据。-Written liquid crystal display with verilog program has been through debugging. 1, this project is to design a LCD control module, and then you want to display on the LCD display data. 2, the LCD12864.sof downloaded through the JTAG port to the FPGA, the LCD will show the data to be displayed.
Platform: | Size: 1089536 | Author: merlin | Hits:

[VHDL-FPGA-Veriloguse-CPLD-SRAM--driving-TFT-lcd

Description: 用CPLD+SRAM驱动数字TFT屏的例子,希望对大家有所帮助-With CPLD+ SRAM drive digital TFT screen example, we want to help
Platform: | Size: 2048 | Author: dengde | Hits:

[VHDL-FPGA-Verilogmy6

Description: fpga verilog程序,实现诸多模块功能,包括,数码管显示,与ad,da通信,与mcu通信,以便通过mcu将高速ad值显示在lcd显示器上。-fpga verilog program to achieve a number of modules, including, digital display, with the ad, da communication, communication with mcu, mcu high-speed through the ad to the value displayed on the lcd display.
Platform: | Size: 3620864 | Author: liu | Hits:

[VHDL-FPGA-VerilogLCD

Description: 基于fpga的vhdl设计的lcd显示的内容及其代码-the code of lcd based on fpga with vhdl
Platform: | Size: 21504 | Author: Micheal | Hits:
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 28 »

CodeBus www.codebus.net